Property Value
dbo:abstract
  • Az Alpha 21464 egy befejezetlen mikroprocesszor, amely az Alpha utasításkészlet-architektúra (ISA) implementálja. A fejlesztését a Digital Equipment Corporation kezdte el, és azt később a Compaq folytatta, minután felvásárolta a Digital-t. A mikroprocesszor EV8 jelölés vagy Araña kódnév alatt is ismert. Megjelentetését 2004-re tűzték ki, azonban 2001. június 25-én leállították a tervezést, mikor a Compaq bejelentette, hogy 2004-ig kivezeti az Alpha termékvonalat és inkább az Itanium processzorokat részesíti előnyben. Leállításakor az Alpha 21464 előrehaladott tervezési fázisban volt, de még nem lépett a (a tervek még nem álltak készen a gyártásra). A 21464 eredete az 1990-es évek közepe tájára nyúlik vissza, amikor egy amerikai számítógéptudós, , Dean Tullsennek az (simultaneous multithreading) terén folytatott kutatásai által inspirálva, szintén kutatni kezdte a témát a Washingtoni Egyetemen, majd az 1990-es évek végén népszerűsíteni kezdte azt és igyekezett az eredményeit a gyakorlatba is átültetni. Látszólag sikerrel, ugyanis a Compaq 1999 októberében az 1999-es Mikroprocesszor Fórumon bejelentette, hogy a következő Alpha mikroprocesszor már az SMT technológiát fogja alkalmazni. Ekkoriban az Alpha 21464 processzort használó rendszerek megjelenését 2003-ra várták. (hu)
  • Az Alpha 21464 egy befejezetlen mikroprocesszor, amely az Alpha utasításkészlet-architektúra (ISA) implementálja. A fejlesztését a Digital Equipment Corporation kezdte el, és azt később a Compaq folytatta, minután felvásárolta a Digital-t. A mikroprocesszor EV8 jelölés vagy Araña kódnév alatt is ismert. Megjelentetését 2004-re tűzték ki, azonban 2001. június 25-én leállították a tervezést, mikor a Compaq bejelentette, hogy 2004-ig kivezeti az Alpha termékvonalat és inkább az Itanium processzorokat részesíti előnyben. Leállításakor az Alpha 21464 előrehaladott tervezési fázisban volt, de még nem lépett a (a tervek még nem álltak készen a gyártásra). A 21464 eredete az 1990-es évek közepe tájára nyúlik vissza, amikor egy amerikai számítógéptudós, , Dean Tullsennek az (simultaneous multithreading) terén folytatott kutatásai által inspirálva, szintén kutatni kezdte a témát a Washingtoni Egyetemen, majd az 1990-es évek végén népszerűsíteni kezdte azt és igyekezett az eredményeit a gyakorlatba is átültetni. Látszólag sikerrel, ugyanis a Compaq 1999 októberében az 1999-es Mikroprocesszor Fórumon bejelentette, hogy a következő Alpha mikroprocesszor már az SMT technológiát fogja alkalmazni. Ekkoriban az Alpha 21464 processzort használó rendszerek megjelenését 2003-ra várták. (hu)
dbo:wikiPageID
  • 1076373 (xsd:integer)
dbo:wikiPageLength
  • 7335 (xsd:nonNegativeInteger)
dbo:wikiPageRevisionID
  • 21328934 (xsd:integer)
prop-hu:wikiPageUsesTemplate
dct:subject
rdfs:label
  • Alpha 21464 (hu)
  • Alpha 21464 (hu)
owl:sameAs
prov:wasDerivedFrom
foaf:isPrimaryTopicOf
is foaf:primaryTopic of